用户
 找回密码
 入住 CI 中国社区
搜索
查看: 1376|回复: 1
收起左侧

[版本 4.x] 不明model的entitles使用

[复制链接]
发表于 2019-2-17 09:45:33 | 显示全部楼层 |阅读模式
本帖最后由 rfrkk 于 2019-2-17 09:47 编辑

关于model的entitles一文

https://codeigniter4.github.io/userguide/models/entities.html




我可以把所有的会使用方法,直接写在该支model,

无能理解model已经身为处理资料逻辑层,为什么仍规划出这些entitles来做业务逻辑层,


请帮忙解惑,谢谢





发表于 2019-2-28 14:18:32 | 显示全部楼层
model 怎么会被看成是业务逻辑层呢???

本版积分规则